Through-Silicon Vias for 3D Integration
A comprehensive guide to TSV and other enabling technologies for 3D integration

Written by an expert with more than 30 years of experience in the electronics industry, Through-Silicon Vias for 3D Integration provides cutting-edge information on TSV, wafer thinning, thin-wafer handling, microbumping and assembly, and thermal management technologies. Applications to highperformance, high-density, low-power-consumption, wide-bandwidth, and small-form-factor electronic products are discussed.

This book offers a timely summary of progress in all aspects of this fascinating field for professionals active in 3D integration research and development, those who wish to master 3D integration problem-solving methods, and anyone in need of a low-power, wide-bandwidth design and high-yield manufacturing process for interconnect systems.

Coverage includes:

  • Nanotechnology and 3D integration for the semiconductor industry
  • TSV etching, dielectric-, barrier-, and seed-layer deposition, Cu plating, CMP, and Cu revealing
  • TSVs: mechanical, thermal, and electrical behaviors
  • Thin-wafer strength measurement
  • Wafer thinning and thin-wafer handling
  • Microbumping, assembly, and reliability
  • Microbump electromigration
  • Transient liquid-phase bonding: C2C, C2W, and W2W
  • 2.5D IC integration with interposers
  • 3D IC integration with interposers
  • Thermal management of 3D IC integration
  • 3D IC packaging
1136736626
Through-Silicon Vias for 3D Integration
A comprehensive guide to TSV and other enabling technologies for 3D integration

Written by an expert with more than 30 years of experience in the electronics industry, Through-Silicon Vias for 3D Integration provides cutting-edge information on TSV, wafer thinning, thin-wafer handling, microbumping and assembly, and thermal management technologies. Applications to highperformance, high-density, low-power-consumption, wide-bandwidth, and small-form-factor electronic products are discussed.

This book offers a timely summary of progress in all aspects of this fascinating field for professionals active in 3D integration research and development, those who wish to master 3D integration problem-solving methods, and anyone in need of a low-power, wide-bandwidth design and high-yield manufacturing process for interconnect systems.

Coverage includes:

  • Nanotechnology and 3D integration for the semiconductor industry
  • TSV etching, dielectric-, barrier-, and seed-layer deposition, Cu plating, CMP, and Cu revealing
  • TSVs: mechanical, thermal, and electrical behaviors
  • Thin-wafer strength measurement
  • Wafer thinning and thin-wafer handling
  • Microbumping, assembly, and reliability
  • Microbump electromigration
  • Transient liquid-phase bonding: C2C, C2W, and W2W
  • 2.5D IC integration with interposers
  • 3D IC integration with interposers
  • Thermal management of 3D IC integration
  • 3D IC packaging
109.49 In Stock
Through-Silicon Vias for 3D Integration

Through-Silicon Vias for 3D Integration

by John H. Lau
Through-Silicon Vias for 3D Integration

Through-Silicon Vias for 3D Integration

by John H. Lau

eBook

$109.49  $145.80 Save 25% Current price is $109.49, Original price is $145.8. You Save 25%.

Available on Compatible NOOK devices, the free NOOK App and in My Digital Library.
WANT A NOOK?  Explore Now

Related collections and offers


Overview

A comprehensive guide to TSV and other enabling technologies for 3D integration

Written by an expert with more than 30 years of experience in the electronics industry, Through-Silicon Vias for 3D Integration provides cutting-edge information on TSV, wafer thinning, thin-wafer handling, microbumping and assembly, and thermal management technologies. Applications to highperformance, high-density, low-power-consumption, wide-bandwidth, and small-form-factor electronic products are discussed.

This book offers a timely summary of progress in all aspects of this fascinating field for professionals active in 3D integration research and development, those who wish to master 3D integration problem-solving methods, and anyone in need of a low-power, wide-bandwidth design and high-yield manufacturing process for interconnect systems.

Coverage includes:

  • Nanotechnology and 3D integration for the semiconductor industry
  • TSV etching, dielectric-, barrier-, and seed-layer deposition, Cu plating, CMP, and Cu revealing
  • TSVs: mechanical, thermal, and electrical behaviors
  • Thin-wafer strength measurement
  • Wafer thinning and thin-wafer handling
  • Microbumping, assembly, and reliability
  • Microbump electromigration
  • Transient liquid-phase bonding: C2C, C2W, and W2W
  • 2.5D IC integration with interposers
  • 3D IC integration with interposers
  • Thermal management of 3D IC integration
  • 3D IC packaging

Product Details

ISBN-13: 9780071785150
Publisher: McGraw Hill LLC
Publication date: 08/05/2012
Sold by: Barnes & Noble
Format: eBook
Pages: 512
File size: 45 MB
Note: This product may take a few minutes to download.

About the Author

John H. Lau received his Ph.D. degree in Theoretical and Applied Mechanics from the University of Illinois (1977), a M.A.Sc. degree in Structural Engineering from the University of British Columbia (1973), a second M.S. degree in Engineering Physics from the University of Wisconsin (1974), and a third M.S. degree in Management Science from Fairleigh Dickinson University (1981). He also has a B.E. degree in Civil Engineering from National Taiwan University (1970). John is an interconnection technology scientist at Agilent Technologies, Inc. His current interests cover a broad range of electronic and optoelectronic packaging and manufacturing technology. Prior to Agilent, he worked for Express Packaging Systems, Hewlett-Packard Company, Sandia National Laboratory, Bechtel Power Corporation, and Exxon Production and Research Company. With more than 30 years of R&D and manufacturing experience in the electronics, petroleum, nuclear, and defense industries, he has given over 200 workshops, authored and co-authored over 180 peer reviewed technical publications, and is the author and editor of 13 books: Solder Joint Reliability; Handbook of Tape Automated Bonding; Thermal Stress and Strain in Microelectronics Packaging; The Mechanics of Solder Alloy Interconnects; Handbook of Fine Pitch Surface Mount Technology; Chip On Board Technologies for Multichip Modules; Ball Grid Array Technology; Flip Chip Technologies; Solder Joint Reliability of BGA, CSP, Flip Chip, and Fine Pitch SMT Assemblies; Electronics Packaging: Design, Materials, Process, and Reliability; Chip Scale Package (CSP): Design, Materials, Process, Reliability, and Applications; Low Cost Flip Chip Technologies for DCA, WLCSP, and PBGA Assemblies, and Microvias for Low Cost, High Density Interconnects. John served as one of the associate editors of the IEEE Transactions on Components, Packaging, and Manufacturing Technology and ASME Transactions, Journal of Electronic Packaging. He also served as general chairman, program chairman, and session chairman, and invited speaker of several IEEE, ASME, ASM, MRS, IMAPS, SEMI, and SMI International conferences. He received a few awards from ASME and IEEE for best papers and outstanding technical achievements, and is an ASME Fellow and an IEEE Fellow. He is listed in American Men and Women of Science and Who’s Who in America.

Table of Contents

1. Introduction to Microelectronics and Nanoelectronics
2. Origin and Evolution of 3D Integration
3. Trends and Outlook of 3D IC Packaging
4. Through-Silicon Vias (TSVs) Technology
5. Challenges and Outlook of 3D Si Integration
6. Challenges and Outlook of 3D IC Integration
7. Thin-Wafer Strength Measurements
8. Thin-Wafer Handling
9. Low-Cost Microbumping
10. C2C and C2W Bonding with Microbumps
11. Low Temperature Bonding
12. Electromigration of Microbump Assemblies
13. Memory Stacking Methods
14. Active TSV Interposers
15. Passive TSV Interposers
16. Thermal Management of 3D IC Integration
17. 3D IC and CIS Integration
18. 3D IC and MEMS Integration
19. 3D IC and LED Integration
20. Embedded 3D Hybrid IC and Opto-electronic Integration in Organic Substrates

From the B&N Reads Blog

Customer Reviews